4. Укажите, что представляет собой схема после синтеза описания:
entity ea is
port (
b : in std_logic;
c : in std_logic;
y : out std_logic);
end entity;
architecture beh of ea is
signal a : std_logic;
signal d : std_logic;
begin
cc: process (a, b)
begin
if b = '0' then
d <= '0';
elsif a'event and a = '1' then
d <= c;
end if;
end process cc;
a <= d xor c;
y <= a;
end architecture;