«…Труд избавляет человека от трех великих зол: скуки, порока, нужды…»

Верификация описания — вопросы

Перейти к: навигация, поиск
12345678910
1112131415
Данный тест позволит Вам проверить свои знания в области верификации VHDL-описания

Вариант 3432292366.


Ваше имя: 


Вопрос 1

В Основные методы в верификации не входит?

  1.  Нормальная верификация;
  2.  Верификация моделированием;
  3.  Имитационная верификация;
  4.  Формальная верификация;

Вопрос 2

Какой покрытие позволяет добавить ключ s в команде vcom +cover="ключ" -work $wlibname $name ?

  1.  Покрытие принимаемых битами значений ( 0\1 )
  2.  Покрытие ветвлений
  3.  Покрытие состояний
  4.  Покрытие состояний конечного автомата
  5.  Покрытие принимаемых битами значений ( 0\1\Z )
  6.  Покрытие условий

Вопрос 3

Какой покрытие позволяет добавить ключ f в команде vcom +cover="ключ" -work $wlibname $name ?

  1.  Покрытие условий
  2.  Покрытие принимаемых битами значений ( 0\1\Z )
  3.  Покрытие ветвлений
  4.  Покрытие состояний
  5.  Покрытие принимаемых битами значений ( 0\1 )
  6.  Покрытие состояний конечного автомата

Вопрос 4

Оказывает PSL код влияние на результат синтеза описания ?

  1.  Нет верного ответа
  2.  Нет,если записан в специальных комментариях
  3.  Да, если записан в специальных комментариях
  4.  Нет

Вопрос 5

Выберите ответ, наиболее полно раскрывающий значение слова покрытие в верификации?

  1.  Сбор значений переменных проекта
  2.  Тестирование качества кода
  3.  Метрика выполнения определенного параметра
  4.  Нет верного ответа
  5.  Проверка свойств/утверждений

Вопрос 6

Инженер при разработке тестов ФК сам проверил в схеме как передаются данные через com-порт. К какому типу эти тесты можно отнести?

  1.  Случайный
  2.  Фиксированный
  3.  Стандартный
  4.  Детерминистский
  5.  Транзакционный
  6.  Прозрачный

Вопрос 7

Инженер при разработке тестов ФК сам разработал фиксированный набор тестов для ситуаций которые он придумал. К какому типу эти тесты можно отнести?

  1.  Транзакционный
  2.  Фиксированный
  3.  Случайный
  4.  Стандартный
  5.  Прозрачный
  6.  Детерминистский

Вопрос 8

Какой покрытие позволяет добавить ключ x в команде vcom +cover="ключ" -work $wlibname $name ?

  1.  Покрытие условий
  2.  Покрытие состояний конечного автомата
  3.  Покрытие принимаемых битами значений ( 0\1 )
  4.  Покрытие принимаемых битами значений ( 0\1\Z )
  5.  Покрытие ветвлений
  6.  Покрытие состояний

Вопрос 9

Какая среда моделирования продукт ф. Synopsis?

  1.  Riviera-PRO™
  2.  Active-HDL™
  3.  VCS-MX®
  4.  NC-Sim®
  5.  QuestaSim®
  6.  ModelSim®

Вопрос 10

Какая среда моделирования продукт ф. Aldec?

  1.  ModelSim®
  2.  Riviera-PRO™
  3.  VCS-MX®
  4.  QuestaSim®
  5.  NC-Sim®

Вопрос 11

Какая из метрик лучше всего подходит для оценки полноты тестов проверки автомата Мура?

  1.  Эвристических метрик
  2.  Моделирование неисправностей
  3.  Мониторинг событий
  4.  Автоматно-метрический подход
  5.  Программных метрик

Вопрос 12

Какую комнду нужно выполнить, чтобы можно было запустить моделирование с обработкой PSL файла?

  1.  vpsl -93 DIGITAL_BLOCK.vhd -psl DIGITAL_PSL.psl
  2.  vcom -93 DIGITAL_BLOCK.vhd -pslf DIGITAL_PSL.psl
  3.  vcom -93 DIGITAL_BLOCK.vhd -psl DIGITAL_PSL.psl
  4.  vcom -93 DIGITAL_BLOCK.vhd -pslfile DIGITAL_PSL.psl

Вопрос 13

Во время работы над проектом менеджер дал распоряжение: -После того как вы (верификаторы) будете находить меньше 10 ошибок в неделю, проект будет готов на 90%. Какой метрикой пользовался менеджер, когда оценивал полноту проверки проекта.

  1.  Автоматно-метрический подход
  2.  Мониторинг событий
  3.  Программные метрики
  4.  Эвристические метрики
  5.  Моделирование неисправностей

Вопрос 14

Куда будет сохранятся по умолчанию база содержащая информацию о покрытии?

  1.  В директорию из которой производился запуск
  2.  Нет верного ответа
  3.  Не будет сохранятся
  4.  В корневую директорию
  5.  В директорию где лежит файл modelsim.ini
  6.  В текущую директорию в файл .ucdb

Вопрос 15

Какой покрытие позволяет добавить ключ t в команде vcom +cover="ключ" -work $wlibname $name ?

  1.  Покрытие ветвлений
  2.  Покрытие принимаемых битами значений ( 0\1\Z )
  3.  Покрытие условий
  4.  Покрытие состояний
  5.  Покрытие состояний конечного автомата
  6.  Покрытие принимаемых битами значений ( 0\1 )