«…Труд избавляет человека от трех великих зол: скуки, порока, нужды…»

Вопросы с олимпиады по микроэлектронике — вопросы

Перейти к: навигация, поиск
12345678910
11121314151617181920
Данный тест позволит вам проверить знания в различных областях микроэлектроники

Вариант 1416652522.


Ваше имя: 


Вопрос 1

38. Укажите, какие из представленных ниже стилей описания проектов существуют в языке VHDL:

  1.  Потоковый;
  2.  Графовый;
  3.  Сигнальный.
  4.  Объектно-ориентированный;
  5.  Поведенческий;

Вопрос 2

31. Компоненты (COMPONENT) могут быть объявлены:

  1.  В подпрограмме;
  2.  В декларативной части процесса (PROCESS);
  3.  Только в процедуре (PROCEDURE).
  4.  В декларативной части архитектурного тела (ARCHITECTURE);
  5.  В пакете (PACKAGE);

Вопрос 3

9. Укажите тип данных, который не является «синтезируемым» в VHDL:

  1.  (A) BOOLEAN;
  2.  (E) INTEGER;
  3.  Ответы А, С, В
  4.  (C) FLOAT;
  5.  (B) BIT;
  6.  Ответы C, D
  7.  (D) REAL;

Вопрос 4

32. Оператор процесса (PROCESS) должен всегда содержать список чувствительности:

  1.  Да, если в теле оператора процесса присутствует оператор WAIT.
  2.  Нет, не всегда, он может отсутствовать, если в теле процесса присутствует оператор WAIT;
  3.  Нет, не всегда, он может отсутствовать, если в теле процесса присутствует оператор STOP;
  4.  Да;

Вопрос 5

30. Какой тип (модель) задержки (delay model) представляет задержку распространения сигнала по цепям связи в проекте на VHDL:

  1.  Инерционная и транспортная.
  2.  Сигнальная;
  3.  Инерционная;
  4.  Транспортная;
  5.  Временная;

Вопрос 6

23. Какие стили описания имеют место быть в VHDL?

  1.  Поведенческий и структурный;
  2.  Конструкторский;
  3.  Циклический;
  4.  Структурный.
  5.  Поведенческий;

Вопрос 7

33. Последовательностные операторы могут использоваться:

  1.  В функциях и операторах PROCESS
  2.  В теле оператора PROCESS.
  3.  В теле оператора условной генерации компонентов (IF … GENERATE);
  4.  В архитектурном теле (ARCHITECTURE);
  5.  В функциях;

Вопрос 8

2. В VHDL при объявлении (задании) структуры данных типа массив его размер должен обязательно быть заранее определен.

  1.  Да, если объявлен в декларативной части процесса.
  2.  Да;
  3.  Нет;
  4.  Да, если объявление осуществляется в пакете;

Вопрос 9

40. Какое из устройств будет результатом синтеза, представленной ниже части кода:
...
process (C, ALOAD,D)
begin
if (ALOAD='1') then
tmp <= D;
elsif rising_edge(C) then
tmp <= tmp(6 downto 0) & '0';
SO <= tmp(7);
end if;
end;
end Behavioral;
...
  1.  Делитель частоты с загружаемым коэффициентом деления.
  2.  Параллельный регистр;
  3.  Параллельно-последовательный регистр;
  4.  Регистр последовательного приближения;
  5.  Последовательно-параллельный регистр;

Вопрос 10

28. Параллельный оператор присваивания значению сигналу имеет следующий вид:

  1.  ==
  2.   :=
  3.  <=
  4.  =
  5.  В предложенном списке отсутствует.

Вопрос 11

5. Оператор NEXT:

  1.  Относится к классу параллельных операторов;
  2.  Прерывает текущую итерацию выполнения процесса.
  3.  Определяет выполнение следующего по коду оператора;
  4.  Используется для прерывания текущей итерации в теле операторов цикла;

Вопрос 12

39. Укажите, какой из представленных ниже операторов проверки условия (на равенство некоторому константному значению) допустим в теле процесса isEqual:
signal Sig : bit_vector(7 downto 0);
...
isEqual: process (Sig) is
... -– оператор проверки условия
end process isEqual;
  1.  if Sig = "00000000" then …;
  2.  if Sig /= not (others => '0')then …;
  3.  if Sig = (others => '0') then …;
  4.  if Sig = (Sig'range => '0') then …;

Вопрос 13

14. Базовым для типа данных NATURAL является тип данных POSITIVE: ?!

  1.  Да, но только в стандарте VHDL`1989.
  2.  Да;
  3.  Нет;

Вопрос 14

26. Функция разрешения:

  1.  Используется для определения значения сигнала, имеющего несколько источников (драйверов);
  2.  Используется при работе с процедурой расчета времени моделирования.
  3.  Определяет состояние системы, когда происходит блокировка выполнения процессов;
  4.  Разрешает выполнение функции при заданном условии.

Вопрос 15

34. Какой из перечисленных ниже типов данных может принимать следующее множество значений: note, warning, error, failure?

  1.  TIME;
  2.  SEVERITY_LEVEL;
  3.  FILE_OPEN_STATUS;
  4.  FILE_OPEN_KIND;
  5.  STATUS_MSG;

Вопрос 16

35. Какие из перечисленных ниже операторов относятся к классу параллельных (CONCURRENT)?

  1.  Оператор PROCESS и BLOCK и SELECT;
  2.  Оператор PROCESS;
  3.  Оператор BLOCK;
  4.  Оператор селективного присваивания значения сигналу (SELECT);
  5.  Оператор присвоения значения переменной.
  6.  Условный оператор IF … THEN … ELSE;

Вопрос 17

8. Указательные типы данных: (!?)

  1.  Позволяют указать время моделирования системы.
  2.  Используются при создании набора данных, размер которых заранее неизвестен;
  3.  Указывают на длину времени моделирования;
  4.  Используются для указания пользовательского типа данных;

Вопрос 18

4. Сигналы (SIGNAL) могут быть объявлены:

  1.  (A) В декларативной части процесса (PROCESS);
  2.  В вариантах A, B, D, E
  3.  (B) В декларативной части архитектурного тела (ARCHITECTURE);
  4.  В вариантах B, E
  5.  (E) В подпрограммах.
  6.  (D) В пакетах (PACKAGE);
  7.  (C) В декларативной части файла-проекта на VHDL (секция ENTITY):

Вопрос 19

12. Оператор GENERATE относится к классу последовательностных операторов:

  1.  Да;
  2.  Да, только в стандарте VHDL'1993.
  3.  Да, только если он объявлен в пакете (PACKAGE);
  4.  Нет;

Вопрос 20

10. Укажите синтаксическую конструкцию (вместо троеточия), где необходимо указать ключевое слово WHEN:

  1.  word := (... => '0');
  2.  comp <= ‘1’ ... a = b ELSE ‘0’;
  3.  FOR i in array’range ... a = b.
  4.  STD_LOGIC_... (31 DOWNTO 0);