«…лишь недалекие люди боятся конкуренции, а люди подлинного творчества ценят общение с каждым талантом…» А. Бек, Талант.

OVM/OVM методология/Введение

Материал из Wiki

Перейти к: навигация, поиск
Проект Диплом

Вебинары
Литература

* OVM *

Использование OVM библиотек

OVM SystemVerilog библиотеки находятся в пакете ovm_pkg. Чтобы использовать этот пакет, вы должны импортировать его в любой файл, который использует любой из OVM средств.Библиотека OVM также содержит набор макросов являются полезными в некоторых местах. Вам нужно будет их включать, а также импортировать пакет

import ovm_pkig::*; ‘include “ovm_macros.svh”

Для того, чтобы OVM библиотеки доступны для testbench на SystemVerilog ,вы должны скомпилировать его в рабочую библиотеку. Для компиляции testbench на Verilog, потребуется выполнить 2 команды:

+incdir+<location-of-OVM-libraries>/src 
<location-of-OVM-libraries>/src/ovm_pkg.sv 

Первая предписывает компилятору искать OVM исходный каталог для включаемых файлов. Вторая команда определяет OVM пакет для компиляции.

Построение и запуск примеров

После установки пакета не требуется установки никаких дополнительных сценариев или процессов. Вы будете должны установить OVM_HOME переменную окружения, чтобы указать место установки OVM:

% setenv OVM_HOME <ovm-location> 

Каждый пример содержит скрипт run_questa и один или более compile_ скрипты *. Скрипт run_questa выполняет пример полностью. Скрипт компиляции это файл который передает после параметра -f как опция в командной строке. Каждый пример включает в себя файл vsim.do, который содержит команды среды моделирования, необходимые для запуска каждого примера.

Самый простой способ запустить пример это выполненить run_questa скрипт:

% ./run_questa 

Этот скрипт компилирует, компанует и выполняет пример. Вы также можете выполнять пример вручную по шагам с помощью следующей последовательности команд:

% vlib work 
% vlog -f compile_sv.f 
% vsim -c top -do vsim.do