Вариант 12

Материал из SimHardWiki
Перейти к: навигация, поиск

Содержание

Автоматизированное проектирование печатных плат

Введение

В наши дни электронная аппаратура используется повсеместно: при разработке и производстве, в быту и т. д. Любая электронная аппаратура состоит из узлов. Зачастую этими узлами являются платы различного назначения. Размеры и сложность таких печатных плат (ПП) достигли такого значения, что вручную спроектировать их уже практически невозможно, поэтому для этих целей применяются различные САПР. печатные платы могут быть:

  • однослойные, когда все поверхностно монтируемые элементы (ПМЭ) и проводящий рисунок находятся на одном слое платы, чаще всего верхнем;
  • двухслойные, когда ПМЭ и проводящий рисунок располагаются на двух слоях ПП (верхнем и нижнем), а связь между слоями происходит через сквозные проводящие (металлизированные) отверстия;
  • многослойные, т е элементы проводящего рисунка располагаются в несколько (3 и более) слоев. Связь между слоями при этом осуществляется при помощи как сквозных, так и глухих металлизированных отверстий.

Глава 1

Существует множество САПР для разработки печатных плат (ПП) различных производителей, таких как Altium, Cadence, Mentor и др. По результатам опроса "Кто в каком САПР для ПП обычно работает?" проведенном на форуме http://electronix.ru в 2005-2006 годах, почти половина российских пользователей работают в программе P-CAD (см. табл. 1.1). При этом в 2006 году вышла новая версия P-CAD 2006, но в ней, по сравнению с предыдущей, не просматривается каких-либо принципиальных новшеств.

Таблица 1.1.
Результаты опроса пользователей САПР ПП в 2005-2006 гг
САПР Пользователи (%) Пользователи (%)
PCAD 3.x 4.x 2,5
PCAD 200x 46,2
PCAD 8.x 1,4 50,1
ORCAD (Cadence) 13,9 13,9
SPB (Cadence) 2,8 2,8
PADS (Mentor) 2,5 2,5
Expedition (Mentor) 6,5 6,5
DXP (Altium) 11,6
Protel (Altium) 3,1 14,7
Другая САПР 9,3 9,3

Рис. 1. УГО светодиода

Чтобы спроектировать новую печатную плату при помощи САПР Altium Designer, необходимо выполнить следующие 5 шагов:

  1. Создать новые библиотеки элементов или добавить новые элементы в библиотеки, если они уже существуют;
  2. Набрать схему электрическую принципиальную в файл с расширением .SchDoc;
  3. Создать файл печатной платы .PcbDoc и импортировать изменения из файла схемы;
  4. Разместить элементы на сторонах (слоях) платы;
  5. Произвести трассировку (автоматически либо вручную).
УГО будем рисовать в соответствии с ГОСТ 2.703-73 ЕСКД (рис. 1). Шаг сетки в соответствии с ГОСТ устанавливается кратным 2,5 мм. Рисуем УГО светодиода в файле схемной библиотеки (рис. 2).
Рис. 2.УГО светодиода

Из datasheet'а на светодиод берем Recommended Soldering Pattern, где указаны рекомендуемые размеры площадки для монтирования элемента на плату. Получаем следующий footprint для элемента (рис.3), который рисуется в файле PCB библиотеки.
Рис. 3.Топология светодиода

Теперь в схемной библиотеке в окне footprint выбираем нужную площадку. Все, библиотечный элемент светодиод готов.
Формулы для расчета размеров печатной платы (ГОСТ 23751-86):
  1. Наименьший номинальный диаметр D контактной площадки рассчитывают по формуле:


Так как в дипломной работе у меня кода не будет, то здесь приведен код из лабораторной работы (делитель частоты на 16):

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
entity div_clk_16 is
    port (clk_in: in std_logic:='0';
        clk_out: out std_logic:='0');
    end entity div_clk_16;
 
architecture beh of div_clk_16 is
    signal clk_temp: std_logic:='0';
    signal q: integer:=0;
    begin
process(clk_in)
	begin
			if(clk_in'event and clk_in='0') then 
				if(q=7) then 
					q<=0; clk_temp<=not clk_temp;
				else q<=q+1;
				end if;
			end if;
	end process;
	clk_out<=clk_temp;
	end architecture;


Название главы начало выполнения Окончание выполнения
Введение 19.11.2013 22.11.2013
Глава 1 22.11.2013 30.12.2013
Заключение 12.03.2013 30.03.2013

Название главы Отметка о выполнении
Введение +
Глава 1 -
Заключение -

[svg]

Заключение

  • Заключение содержит ссылки на литературу(внутр и внешний источники) и результаты ожидаемые получить в дипломной работе
  1. Сабунин А. Е. Altium Designer. Новые решения в проектировании электронных устройств. - М.: СОЛОМОН-ПРЕСС, 2009. - 432 с.
  2. Лопаткин А. P-CAD 2004. - СПб.: БХВ-Петербург, 2006. - 545 с.
  3. ЕСКД. http://www.tehlit.ru/e_gost_77.htm

Ссыль на пример

http://simhard.com/wiki/index.php?title=%D0%A1%D0%BF%D0%B5%D1%86_%D0%BA%D1%83%D1%80%D1%81%28%D0%A1%D0%B8%D1%81%D1%82%D0%B5%D0%BC%D1%8B_%D0%B2%D0%B5%D0%B4%D0%B5%D0%BD%D0%B8%D1%8F_%D0%BF%D1%80%D0%BE%D0%B5%D0%BA%D1%82%D0%B0%29/%D0%9B%D0%B0%D0%B1%D0%BE%D1%80%D0%B0%D1%82%D0%BE%D1%80%D0%BD%D1%8B%D0%B5_%D0%B7%D0%B0%D0%B4%D0%B0%D0%BD%D0%B8%D1%8F/mediawiki/exmpl

Не получается нормальная.