«…Труд избавляет человека от трех великих зол: скуки, порока, нужды…»

OVM/OVM методология/Введение — различия между версиями

Материал из Wiki
Перейти к: навигация, поиск
(Новая страница: «Использование OVM библиотек OVM SystemVerilog библиотеки находятся в пакете ovm_pkg. Чтобы использ…»)
 
м (Поправлена разметка страницы)
Строка 1: Строка 1:
Использование OVM библиотек
+
{{OVM_TOC}}
  
 +
== Использование OVM библиотек ==
  
 
OVM SystemVerilog библиотеки находятся в пакете ovm_pkg. Чтобы использовать этот пакет, вы должны импортировать его в любой файл, который использует любой из OVM средств.Библиотека OVM также содержит набор макросов являются полезными в некоторых местах. Вам нужно будет их включать, а также импортировать пакет  
 
OVM SystemVerilog библиотеки находятся в пакете ovm_pkg. Чтобы использовать этот пакет, вы должны импортировать его в любой файл, который использует любой из OVM средств.Библиотека OVM также содержит набор макросов являются полезными в некоторых местах. Вам нужно будет их включать, а также импортировать пакет  
 
  
 
import ovm_pkig::*;  
 
import ovm_pkig::*;  
 
 
 
‘include “ovm_macros.svh”  
 
‘include “ovm_macros.svh”  
 
  
 
Для того, чтобы OVM библиотеки доступны для testbench на SystemVerilog ,вы должны скомпилировать его в рабочую библиотеку. Для компиляции testbench на Verilog, потребуется выполнить 2 команды:  
 
Для того, чтобы OVM библиотеки доступны для testbench на SystemVerilog ,вы должны скомпилировать его в рабочую библиотеку. Для компиляции testbench на Verilog, потребуется выполнить 2 команды:  
  
 
+
+incdir+<location-of-OVM-libraries>/src  
 
+
<location-of-OVM-libraries>/src/ovm_pkg.sv  
 
+
 
+
+incdir+<location-of-OVM-libraries>/src  
+
 
+
 
+
<location-of-OVM-libraries>/src/ovm_pkg.sv  
+
 
+
 
+
  
 
Первая предписывает компилятору искать OVM исходный каталог для включаемых файлов. Вторая команда определяет OVM пакет для компиляции.  
 
Первая предписывает компилятору искать OVM исходный каталог для включаемых файлов. Вторая команда определяет OVM пакет для компиляции.  
 
 
 
 
 
  
 
Построение и запуск примеров  
 
Построение и запуск примеров  
 
 
 
 
  
 
После установки пакета не требуется установки никаких дополнительных сценариев или процессов. Вы будете должны установить OVM_HOME переменную окружения, чтобы указать мечто установки OVM:  
 
После установки пакета не требуется установки никаких дополнительных сценариев или процессов. Вы будете должны установить OVM_HOME переменную окружения, чтобы указать мечто установки OVM:  
  
 
+
% setenv OVM_HOME <ovm-location>  
 
+
% setenv OVM_HOME <ovm-location>  
+
 
+
 
+
  
 
Каждый пример содержит скрипт run_questa и один или более compile_ скрипты *. Скрипт run_questa выполняет пример полностью. Скрипт компиляции это файл который передает после параметра -f как опция в командной строке. Каждый пример включает в себя файл vsim.do, который содержит команды среды моделирования, необходимые для запуска каждого примера.  
 
Каждый пример содержит скрипт run_questa и один или более compile_ скрипты *. Скрипт run_questa выполняет пример полностью. Скрипт компиляции это файл который передает после параметра -f как опция в командной строке. Каждый пример включает в себя файл vsim.do, который содержит команды среды моделирования, необходимые для запуска каждого примера.  
 
  
 
Самый простой способ запустить пример это выполненить run_questa скрипт:  
 
Самый простой способ запустить пример это выполненить run_questa скрипт:  
  
 
+
% ./run_questa  
% ./run_questa  
+
 
+
  
 
Этот скрипт компилирует, компанует и выполняет пример. Вы также можете выполнять пример вручную по шагам с помощью следующей последовательности команд:  
 
Этот скрипт компилирует, компанует и выполняет пример. Вы также можете выполнять пример вручную по шагам с помощью следующей последовательности команд:  
  
 
+
% vlib work  
 
+
% vlog -f compile_sv.f  
 
+
% vsim -c top -do vsim.do
 
+
% vlib work  
+
 
+
 
+
% vlog -f compile_sv.f  
+
 
+
 
+
% vsim -c top -do vsim.do
+

Версия 19:01, 19 февраля 2013

Проект Диплом

Вебинары
Литература

* OVM *

Использование OVM библиотек

OVM SystemVerilog библиотеки находятся в пакете ovm_pkg. Чтобы использовать этот пакет, вы должны импортировать его в любой файл, который использует любой из OVM средств.Библиотека OVM также содержит набор макросов являются полезными в некоторых местах. Вам нужно будет их включать, а также импортировать пакет

import ovm_pkig::*; ‘include “ovm_macros.svh”

Для того, чтобы OVM библиотеки доступны для testbench на SystemVerilog ,вы должны скомпилировать его в рабочую библиотеку. Для компиляции testbench на Verilog, потребуется выполнить 2 команды:

+incdir+<location-of-OVM-libraries>/src 
<location-of-OVM-libraries>/src/ovm_pkg.sv 

Первая предписывает компилятору искать OVM исходный каталог для включаемых файлов. Вторая команда определяет OVM пакет для компиляции.

Построение и запуск примеров

После установки пакета не требуется установки никаких дополнительных сценариев или процессов. Вы будете должны установить OVM_HOME переменную окружения, чтобы указать мечто установки OVM:

% setenv OVM_HOME <ovm-location> 

Каждый пример содержит скрипт run_questa и один или более compile_ скрипты *. Скрипт run_questa выполняет пример полностью. Скрипт компиляции это файл который передает после параметра -f как опция в командной строке. Каждый пример включает в себя файл vsim.do, который содержит команды среды моделирования, необходимые для запуска каждого примера.

Самый простой способ запустить пример это выполненить run_questa скрипт:

% ./run_questa 

Этот скрипт компилирует, компанует и выполняет пример. Вы также можете выполнять пример вручную по шагам с помощью следующей последовательности команд:

% vlib work 
% vlog -f compile_sv.f 
% vsim -c top -do vsim.do