«…лишь недалекие люди боятся конкуренции, а люди подлинного творчества ценят общение с каждым талантом…» А. Бек, Талант.

Спец курс (Верификация цифровых схем)/Практические задания 3

Материал из Wiki
Перейти к: навигация, поиск
Лекции ВЦС

Лекции

Практические задания
Тесты

Табель успеваемости

Экзамен

Доп. материалы

Содержание

Общие для всех вариантов шаги лаб 3

Шаг 0. Создание класса транзакции

  1. Создать описание класса seq_item.
  2. Класс должен содержать битовое поле data

Шаг 1. Создание интерфейса

  1. Объявить интерфейс, который содержит тактовый сигнал clk, сигнал сброса rst, и сигнал data.
  2. Создать модуль и в нем инстанциировать интерфейс
  3. Объявить переменную типа reg по имени clk_i, rst_i.
  4. В initial блоке создать генератор тактового сигнала и сброса используя, переменные clk_i, rst_i;
  5. Подключить сигналы clk_i, rst_i к интерфейсу.

Шаг 2. Создание класса конвертации транзакции и воздействия на проводном интерфейсе

  1. Создать класс с названием driver_t.
  2. В классе объявить переменную типа virtual interface vif.
  3. Объявить переменную типа seq_item item1;
  4. Объявить event get_next_item_e;
  5. Реализовать virtual task mrun_phase в этом классе.
    1. Таск должен содержать бесконечный цикл, который ожидает события get_next_item_e.
    2. После получения события класс должен ожидать события posedge на сигнале clk (на интерфейсе)
    3. После брать значения из объекта транзакции item1.data и назначать на сигнал интерфейса vif.data

Шаг 3. Запуск и проверка конвертации

  1. Создать объект в модуле, объявленном в предыдущем задании.
  2. Передать указатель на интерфейс в объект driver
  3. Запустить task mrun_phase
  4. Создать в модуле класс транзакции, содержащий поле rand data, передать указатель на транзакцию в класс driver.
  5. Вызвать триггер для события get_next_item_e.
  6. Повторить генерацию транзакций 10 раз со случайным интервалом от 1 до 10 us.

Шаг 4. Создание класса, получения транзакции с проводного интерфейса

  1. Создать класс с названием monitor_t.
  2. В классе объявить переменную типа virtual interface.
  3. Реализовать virtual task mrun_phase в этом классе. Должен содержать бесконечный цикл. После получения события класс должен ожидать события posedge на сигнале clk (на интерфейсе), после брать значение сигнала test из интерфейса, помещать его в транзакцию и печатать транзакцию в консоль.

Шаг 5. Запуск монитора

  1. Создать класс монитора в топ модуле
  2. Передать в класс ссылку на интерфейс
  3. Запустить в топ модуле task mrun_phase

Шаг 6. класс Agent

  1. Создать класс Agent_t
  2. В классе должны создаваться объекты monitor, driver.
  3. В классе реализовать virtual task mrun_phase, в котором запускаются task mrun_phase из классов monitor,driver.
  4. В классе объявить переменную virtual interface, cссылка на интерфейс должна пробрасываться в драйвер и монитор
  5. Создать класс Agent в топ модуле
  6. Передать в класс ссылку на интерфейс
  7. Запустить в топ модуле task mrun_phase: в результате в логе должна наблюдаться печать транзакций

Общие для всех вариантов шаги лаб 3 при переходе к UVM

Шаг 1. Наследуемся от UVM

  1. Создать класс my_driver_t, наследуемый от uvm_driver
  2. Функционал класса driver_t перенести в my_driver_t
  3. Создать класс my_monitor_t, наследуемый от uvm_monitor
  4. Функционал класса monitor_t перенести в my_monitor_t
  5. Создать класс my_agent_t, наследуемый от uvm_agent
  6. Функционал класса agent_t перенести в my_agent_t
  7. В каждом из описанных шагов дополнительно
    1. Переопределить конструктор
    2. Поменять конструктор в точке вызова (передать строковый параметр - имя модуля, и указатель на компонент создающий данный объект)
      1. при вызове конструктора в топ модуле вторым параметром можно использовать uvm_root::get()
    3. Добавить макросы регистрации класса
    4. В каждом описанном классе добавить описание 2 функций
      1. function void build_phase (uvm_phase phase)
      2. function void connect_phase (uvm_phase phase)
    5. В каждом описанном классе добавить описание 1 дополнительного таска
      1. task run_phase (uvm_phase phase)

Шаг 2. Сиквенсер

  1. Создать класс наследуемый от uvm_sequencer
    1. Добавить регистрацию класса в фабрике с помощью макроса

Шаг 3. Соединить драйвер и сиквенсер

  1. В классе агента в методе connect_phase соединить встроенные в драйвер и сиквенсер порты seq_item_port и seq_item_export

Шаг 4. Сиквенс

  1. Создать класс наследуемый от uvm_sequence
  2. Реализовать метод класса body
    1. создать транзакцию tr
    2. вызывать метод класса start_item(tr);
    3. вызвать tr.randomize() для объекта транзакции;
    4. вызвать метод класса finish_item();
  3. повторить перечисленные выше действия 10 раз;

Шаг 5. Заменить вывод сообщений на UVM INFO макросы

Шаг 6. Соединить интерфейс , драйвер , монитор

  1. В топ модуле после создания интерфейса реализовать initial begin end
    1. В блоке begin end вызвать метод помещающий интерфейс в базу конфигурации
    2. uvm_config_db#(virtual interface):: set (null, "*", "vif", vif);
  2. В дравере и мониторе в build_phase таск добавить вызов метода получающего указатель на интерфейс из базы конфигурации
    1. uvm_config_db#(virtual interface):: set (this, "", "vif", vif);

Шаг 7. Тест/Окружение

  1. Создать класс наследуемый от uvm_test с именем my_uvm_test
  2. Реализовать метод build_phase этого класса
    1. Создание объекта агента
    2. Создание объекта сиквенсы
  3. Реализовать run_phase теста :
    1. Поднять объект управления (raise_objection)
    2. Запустить сиквенс на сиквенсере использую метод start()
    3. Снять объект управления (drop_objection)

Шаг 8. Запустить тест на выполнение

  1. В топ модуле после создания интерфейса реализовать initial begin end
    1. В блоке begin end вызвать метод run_test ("my_uvm_test"); my_uvm_test - имя, которые вы дали классу теста.

Как выбрать вариант

Bombilla amarilla - yellow Edison lamp.pngДля задания 1 варианты выбираются согласно табелю успеваемости.

Например: если вариант 3.2 - это значит что необходимо разработать транзакцию SPI без использования UVM макросов.

Спецификации

  1. APB
  2. UART
  3. SPI

Задание

  1. По спецификации интерфейса (*) блока разработать транзакцию, позволяющую описать все доступные операции на заданном интерфейсе:
    1. с использованием UVM макросов.
    2. без использования UVM макросов.
  2. Создать пакет, реализующий весь функционал агента (сиквенсер, драйвер, монитор) из существующих файлов описания всех составных блоков и скомпилировать его. (Можно использовать файлы из проекта https://www.edaplayground.com/x/3ru7)
  3. Реализовать объект конфигурации агента, который содержит методы настройки в три режима: по умолчанию активный ведущий, пассивный, активный ведомый режимы

Дополнительно

  1. Реализовать фазу сброса в драйвере
  2. Реализовать фазу, предшествующую фазе сброса
  3. Подменить драйвер в агенте, из окружения в фазе создания компонент
  4. Создать домен фазы выполнения для агента и подключить агент к этому домену

Спецификация APB интерфейса

  • IHI0024C_amba_apb_protocol_spec.pdf

Спецификация CSR интерфейса

Спецификация UART интерфейса

Спецификация SPI интерфейса